Joined September 2013
·

Benedikt Wolters

wilde Ententruppe
·
Aachen
·
·

Posted to Column formatting in vim over 1 year ago

if you have variable assignments like foo=bar you can also align those by simply using

:'<,'>s/=/ = /

before the !column -t
call

Achievements
50 Karma
0 Total ProTip Views